Using an Internet search engine, search for “strategic alliance” and identify a recently formed alliance. What is the goal of this alliance? What brought them together? Discuss how you think a strategic alliance is or is not an effective way for these organizations to meet their goals.
In 80 words or more How can companies deal with software or operating services/service packs end of life pressure? How can companies grow or expand if they do not plan for the future?
Discussion Question: An armed terrorist from Syria is captured during a firefight with Marines in Afghanistan. Is the terrorist entitled to all the protections that are afforded to any American citizen who is being detained in a prison under control of the United States? Why or why not?
Instructions: Fully utilize the materials that have been provided to you in order to support your response. Your initial post should be at least 350 words.
Select two articles from the list below and in 1-2 pages single-spaced (per article) address the following:
Include the full reference for the article using the writing style specific to your program on the title page.
Criminal Justice = APA
International Relations, National Security, Military Studies, and Intelligence Studies = Turabian.
Since multiple writing styles are in use within this course, on your title page, please note which style you are using within your assignment. This will help me cater my comments to the style you are using. The style you use need to be the one that is used within your program of study.
State the main goal(s) of the study
Summarize the research design, and discuss the research method(s) used to answer the research question or assess the hypothesis.
Summarize the results of the study.
Discuss the advantages and disadvantages of the study’s research design.
Provide a discussion on how the study can be moved forward. For example, how can the methods be used in your own research proposal? In what other research might these methods apply? Include two well phrased research questions that could be used in follow-on studies to the one reviewed.
Format: You should have 1-inch margins on all 4 sides of your papers; your title page should include your name and date; you should use 12-point times new roman font throughout.
Phillips, Matthew D. 2016. “Time Series Applications to Intelligence Analysis: A Case Study of Homicides in Mexico.” Intelligence and National Security 31, no. 5: 729-745.
Parker, Karen F., Richard Stansfield, and Patricia L. McCall. 2016. “Temporal Changes in Racial Violence, 1980 to 2006: A Latent Trajectory Approach.” Journal of Criminal Justice 47 (December), 1-11.
Apply: Tort and Criminal Law IRAC Case Brief
Assignment Content
Review the “IRAC Method” section of Ch. 1 of Legal Environment of Business.
Research one legal case or recent event involving a tort and one legal case or recent event related to criminal law.
Each case or event should have taken place within the past two years.
Write in-depth briefs explaining your selected cases using the IRAC method. Each case brief should be 350 to 525 words and include an explanation of how the legal concepts in the selected case can be applied within a managerial business setting including considerations such as but not limited to:
>Insurance
>Internal auditing and reporting procedures
>Explaining what could have been done differently in each case to avoid or reduce harm/risk
Use APA format
Include in-text citations and a reference page.
CAPITAL PUNISHMENT
CAPITAL PUNISHMENT
The discussion and conclusions section helps to analyze the project and the study involved in the project. Keep in mind that this is the section where your reader is evaluating the value of your study and other professionals are also learning about the contribution of your study toward the criminal justice field.
In this assignment, you will comprise your final discussion and conclusions section of your project in at least 2–3 pages. Incorporating the feedback that you have received in the Week 8 Project, refine and expand the discussion and conclusions section of your project so that it is in its final form. Be sure to discuss the organizational, managerial, and policy implications of your study.
Cite all sources using APA format on a separate page.
DOJ grant to develop a community
Your organization has been awarded a DOJ grant to develop a community initiative for improving racial equity. You, as the law enforcement manager, have been assigned the task of developing a community-based team that will oversee the implementation of the initiative. The mission of the Race and Social Justice Strategy Team’s task is to end racism and achieve racial equity in the city’s practices, policies, and culture.
In a 1,250-1,500-word essay, complete the following:
- Briefly describe six different constituents/stakeholders on your team (organization, title, and influence in the community).
- As the team’s leader, discuss your perception of your sphere of influence.
- What roles will each of your team member’s play within the group? Are there team member’s who will have dual roles?
- Describe three types of power that you as the manager may need to use to effectively lead this team. Give examples.
- Describe specific strategies that you as the team manager will implement to keep the team motivated and on task (4.2). This Benchmark assesses competency 4.2: Recommend strategies to build relationships and communicate ethically with various stakeholders.
- Describe what you hope to accomplish and within what timeframe.
- Describe how you will evaluate the success of the team’s substantive contribution to the mission.
Prepare this assignment according to the guidelines found in the APA Style Guide, attach a turnitin report
In Chapter 12, Wardle (2013) summarizes the important characteristics of a culturally relevant teacher. Use this information as a guide or resource throughout this assignment to help inspire your thinking as you apply your knowledge of culturally relevant pedagogy toward specific solutions to problems facing a teacher with a very diverse student population. This exercise provides excellent practice over the application of culturally relevant principles in the design of effective instructional solutions. You will need to design such instructional solutions within the Final Project, so it is very important to practice such skills here. Specifically, for this assignment, you will view a brief video taken with a cell phone by a student in a high school social studies class. Student “Jeff Bliss” Mad at Teacher at Duncanville High (Links to an external site.) (Bliss, 2013) documents a meltdown Bliss experienced during his World History course at Duncanville High School in Duncanville, Texas.
Review the Instructor Guidance before this task. In your paper, include the following:
- Address the items below based on your inferences and ideas after viewing the events captured in the cellphone video. (2 points)
- Describe the problem from Jeff Bliss’s perspective as well as from Ms. Phung’s perspective.
- Explain what probably caused it.
- Identify who benefits and who loses.
- Indicate your position on this issue.
- Discuss how a more equitable, culturally relevant approach to the class could improve the situation.
- Express how Ms. Phung might respond differently to Jeff’s concerns.
- Describe any equity strategies you noticed or inferred being implemented in the video. (2.5 points)
- Suggest at least one strategy for each of the following four categories that the teacher could have utilized to help meet student needs in a more equitable fashion:
- Instruction
- Classroom Environment
- Student Grouping
- Student Recognition/leadership
- Describe evidence of any culturally relevant pedagogy in action in the cellphone video. (2.5. points)
- List at least three strategies that could be used to create a more culturally relevant classroom. Such strategies might be drawn from the following areas:
- Maximizing academic success through relevant instructional experiences
- Addressing cultural competence through reinforcing students’ cultural integrity
- Involving students in the construction of knowledge
- Building on students’ interests and linguistic resources
- Tapping home and community resources
- Understanding students’ cultural knowledge
- Using interactive and constructivist teaching strategies
- Examining the curriculum from multiple perspectives
- Promoting critical consciousness through opportunities to challenge predominant elements of the students’ social norms
If you are enrolled in the MAED Program, it is imperative that you keep copies of all assignments completed in this course. You will return to them for the portfolio that you will create in your final MAED course. This portfolio is a culminating project that will demonstrate that you have met program outcomes.
Review this week’s Instructor Guidance for additional information about completing this assignment. Contact your instructor for clarifications about this or any assessment in the course before the due date using the “Ask Your Instructor” forum. Then, also using the Grading Rubric as a guide for your performance on this assignment, construct your assignment to meet each of the content and written communication expectations.
Review your assignment with the Grading Rubric to be sure you have achieved the distinguished levels of performance for each criterion and submit the assignment for evaluation no later than Day 7
H7068 DIGITAL SYSTEMS AND MICROPROCESSOR DESIGN: COURSEWORK 2019 Remarks:
All the coursework has to be done in VHDL. Coursework handed in using another language will be marked as zero.
Coursework must be typeset. Never use screenshots or photograph of code in your coursework. Typeset code within your
coursework report using a monospace font (e.g. courier new). Never use photographs of waveforms in your coursework. Use a proper screen capture tool to include
a high resolution screenshot in your coursework.
a) Consider the register bank of the educational processor (file cpuregbank.vhd of labcpu):
The objective is to create a testbench for this circuit, and simulate the a few operations including storing data in it as well as retrieving data from it.
In order to do this, use the file cpuregbank.vhd which is in labcpu zipfile. The file dffre.vhd is also required as it is used internally by the register bank.
H7068 DIGITAL SYSTEMS AND MICROPROCESSOR DESIGN: COURSEWORK 2019
The ports of cpuregbank are:
clk : in STD_LOGIC; — Clock rst : in STD_LOGIC; — Reset signal (active high) d : in STD_LOGIC_VECTOR(7 downto 0) — Data to write to a register
— (when rwren is enabled) rwren : in STD_LOGIC — Set to 1 to write d into register rwr rwr : in STD_LOGIC_VECTOR(1 downto 0) — Selects which register to write to. — The register encoding is identical — to that used in the assembler
— instruction encoding. rrd1 : out STD_LOGIC_VECTOR(1 downto 0) — Select which register is
— mapped to q1 rrd2 : out STD_LOGIC_VECTOR(1 downto 0) — Select which register is
— mapped to q2 q1 : out STD_LOGIC_VECTOR(7 downto 0) — Content of register selected by rrd1 q2 : out STD_LOGIC_VECTOR(7 downto 0) — Content of register selected by rrd2 dbg_qa : in STD_LOGIC_VECTOR(7 downto 0) — This is a debug signal which has
— the content of register RA. It is — used in the lab to display the — register content on the 7-segment — display. (A production processor — would not have this signal)
dbg_qb : in STD_LOGIC_VECTOR(7 downto 0) — Same as dbg_qa but for RB dbg_qc : in STD_LOGIC_VECTOR(7 downto 0) — Same as dbg_qa but for RC dbg_qd : in STD_LOGIC_VECTOR(7 downto 0) — Same as dbg_qa but for RD
First, your test-bench should ensure a regular clock is driving clk with a clock period of 100ns, and a 50% duty cycle.
Then, the testbench should allow to test a variety of operations the sequence described hereafter.
i) Reset: The test bench should first reset the register bank. The reset is synchronous. It should also set rrd1, rrd2, d, rwr, rwren to zero.
ii) Store1: store the value 0x55 to register RA
iii) Store2: store the value 0xAA to register RB
iii) Store3: store the value 0xFF to register RC
iv) Load1: get the content of register RA on q1 and RB on q2
v) Load2: get the content of register RC on q1 and RD on q2
In the coursework report:
i) Explain the testbench file you constructed, what it does, and how it does it. In order to do that, provide the complete source of the testbench, and in the main text of your report explain the testbench file. By “explaining the testbench”, we ask you to first provide an overall explanation of how you intend to simulate the system, and then explain the purpose of each of the VHDL constructs you are using to realise the tests indicated above. Make sure you explain where the Reset, Store1, Store2, Store3, Load1, Load2 operations take place.
Note: if you create the testbench from Vivado’s user interface, a lot of default comments are inserted by Vivado. Remove these, as they are not useful for this coursework.
H7068 DIGITAL SYSTEMS AND MICROPROCESSOR DESIGN: COURSEWORK 2019
ii) Provide a screen capture of the waveforms resulting from the testbench. All signals must be legible. Make sure that all the values in the waveforms are legible and in hex. Note: do not take photographs! Use a proper screen capture tool, such as pressing the “Print Screen” key. Explain what can be observed on these waveforms. Make sure you highlight on the waveform (e.g. with mspaint) where the operations Reset, Store1, Store2, Store3, Load1, Load2 operations take place.
All the register bank signals must be visible in the waveform.
[20 marks (10 marks for the testbench and associated explanation, 10 marks for the waveform and associated explanations; if the testbench does not work, not marks will be
assigned)]
b) Consider an input signal (i.e. a square wave) which has a maximum frequency of 1MHz. We want to count how many times the input signal transitioned from 0 to 1.
Detail three approaches to count the number of transitions.
i) the first approach should be a pure digital circuit (i.e. no processor).
ii) the second approach should consist only of a processor (UoS educational processor).
iii) the third approach should be a combination of processor (UoS educational processor) and a digital circuit (e.g. interfaced on the external I/O bus), both working together to acquire the number of transitions. You have significant flexibility here in finding an implementation that offers an advantage compared to (i) and (ii) in some interesting way. As a hint, consider that the input signal could have potentially a much higher clock frequency than the one at which the processor operates.
In the report:
i) explain the implementation of your system in a way that another engineer would understand it. In particular, provide schematic for variant (i) and (iii) and provide assembler code for variant (ii) and (iii). Explain your choice, and how your implementation works.
Make sure in the report that you do provide the code and schematic, and explain in the core text what the code or circuit does, and how.
ii) discuss the advantages and disadvantages of each approach.
[20 marks (5 marks per implementation with comments, 5 mark for the indentification of advantages and disadvantages]
c) The Sussex Educational Processor executes an instruction every 3 clock cycles. Explain why that is the case and specifically what happens during each of these three clock cycles.
[5 marks]
d) Explain and justify what is the maximum addressable memory for the processor using the mov instruction (note that this is not the amount of available memory, which was 32 bytes in the labs; it’s the maximum amount of memory which could be ‘touched’ by the processor).
[5 marks]
e) You are provided with the following VHDL code of a logic gate:
H7068 DIGITAL SYSTEMS AND MICROPROCESSOR DESIGN: COURSEWORK 2019
entity something is port ( clk : in STD_LOGIC; s : in STD_LOGIC; r : in STD_LOGIC; d : in STD_LOGIC; q : out STD_LOGIC); end something; architecture Behavioral of something is begin process(clk) begin if s=’1′ then q<=’1′; else if clk’event and clk=’0′ then if r=’1′ then q <= ‘0’; else q <= not d; end if; end if; end if; end process; end Behavioral;
The resulting logic gate is a variation of a type of gate commonly used in digital systems. Explain what is this logic gate and how it behaves.
[5 marks]
f) Write an assembler program that performs a loop exactly n times, with the value n specified on 8-bits on the external interface. Write down: i) the assembler code; ii) an explanation of what the assembler code does, line by line.
[10 marks (5 marks for the program, 5 for the explanations)]
g) Consider the program below. Explain line by line the operation performed by the instruction and the resulting register values for registers RA, RB, RC, RD. Write the value that is in the register after the execution of the instruction in the corresponding column; if the value is unknown indicated this with ??. Assume we do not know the content of the registers on program start.
RA RB RC RD mov rb,32h . . . . xor rd,rd . . . . sub rd,rb . . . . shr rb . . . . asr rd . . . .
[5 marks (1 mark per correct line)]
h) You are provided with the following memory dump. Write the assembler instructions corresponding to this memory dump.
H7068 DIGITAL SYSTEMS AND MICROPROCESSOR DESIGN: COURSEWORK 2019
Address Data 00 1330 02 3303 04 5770 06 B10A 08 B002
[5 marks (1 per correct instruction)]
i) Explain how many total number of ALU operations could be realised in the UoS Educational Processor if you modified the cpualu.vhd keeping the current structure of the instruction encoding, and provide an explanation for your answer.
[5 marks]
j) Consider the instruction “MOV [RB], RD” (assume RA=08h,RB=55h,RC=37h,RD=A0h). Assume we are shortly before the clock edge of the “execute” cycle (i.e. at the next rising edge the instruction will be executed).
By analyzing the VHDL code of the processor, explain what happens inside the educational processor to execute this instruction. Specifically, indicate the state of the following signals (or indicate if undefined):
instruction (in cpu.vhd) rrd1 (port of cpuregbank in cpu.vhd) rrd2 (port of cpuregbank in cpu.vhd) rwr (port of cpuregbank in cpu.vhd) d (port of cpuregbank in cpu.vhd) reg1out (in cpu.vhd) reg2out (in cpu.vhd) source (in cpu.vhd) regwren (in cpu.vhd) flagwren (in cpu.vhd) ram_we (in cpu.vhd) ram_address (in cpu.vhd) ram_datawr (in cpu.vhd) op (port of cpualu in cpu.vhd) a (port of cpualu in cpu.vhd) b (port of cpualu in cpu.vhd) aluqout (in cpu.vhd) alufout (in cpu.vhd) wrdata (in cpu.vhd) finally, summarize the overall processor behavior with this instruction.
[20 marks (1 mark per correct signal value, 1 mark for a correct explanation)]
Define the maintenance and security components necessary for the data models created in the following Individual assignments:
- Week One “DreamHome Case Study”
- Week Two “Object Oriented Data Model and SQL Query Definition”
Include the following in your definition:
- How data replication will be used in the system
- An effective and efficient recovery strategy
Document your components as follows:
- A 2- to 3-page Microsoft Word document for your definition of maintenance and security components
- Revised diagrams in Microsoft Visio from previous assignments with annotations identifying the maintenance and security components
- Assignment status: Solved by our Writing Team at CapitalEssayWriting.com
- CLICK HERE TO ORDER THIS PAPER AT CapitalEssayWriting.com
- GET THIS PAPER COMPLETED FOR YOU FROM THE WRITING EXPERTS
- NO PLAGIARISM